Differences of various PPU CPUs in Famiclones

Discuss hardware-related topics, such as development cartridges, CopyNES, PowerPak, EPROMs, or whatever.

Moderator: Moderators

Post Reply
User avatar
Airwe
Posts: 10
Joined: Sat Dec 01, 2012 6:01 am
Contact:

Differences of various PPU CPUs in Famiclones

Post by Airwe »

Hello :beer:
I have used several years to summarize the characteristics of some chips, these are very interesting. Please forgive me because I'm not very good at writing in English. I am using RP2A03G RP2C02G as reference. :D

TA-03NP1 6527P 9223:
The duty cycle of the two square waves is correct.
Small errors sometimes occur with DPCM channels(low probability that the drum repeats).
The noise channel is slightly louder than the other channels.
Divisor is 15.
PIN30 CAN NOT adjust the divisor.
Square audio is close to linear, much better than 2A03G (For example, the battle BGM in Armadillo, opening in Bubble Bobble 2).

SNC6527P P02 9104:
The duty cycle of the two square waves is correct.
On most cartridges (not game) the DPCM channel is perfectly fine, But in cassette Pocket Games 150-in-1 the DPCM is broken (although the same game, cause unknown).
The noise channel is slightly louder than the other channels(I like it).
Divisor is 15.
PIN 30 CAN NOT adjust the divisor.
Square audio is close to linear, much better than 2A03G.

SNC6527P 9114:
The duty cycle of the two square waves is correct.
DPCM Perfect.
Divisor is 15.
PIN 30 CAN NOT adjust the divisor.
Square audio is much better than 2A03G.

TA-03N 9105:
Duty cycle OK.
DPCM channel is completely damaged (I mean exactly, can't listen at all).
Divisor is 12 and can not adjust by PIN30.
Square audio is close to linear, much better than 2A03G.
It gets very hot after working for a while.

TA-03NP EPW0119:
Very funny square wave bug (It feels that the volume is not 4-bit 16-level, but 8-level or less).
DPCM channel is completely damaged.
Divisor is 12.
PIN30 CAN NOT adjust the divisor.

TA-03NP WDW23691:
Square waves is correct.
On most cartridges (not game) the DPCM channel will only make small mistakes, But in Pocket Games 150-in-1 the DPCM is broken.
Divisor is 12 and can not adjust by PIN30.
Square wave audio is almost linear, which is why I like it.

HITEX-6527P-P03 GX 9110:
The duty cycle of the two square waves is correct.
Small errors sometimes occur with DPCM channels(low probability that the drum repeats).
Divisor is 15, fix.
Feels like TA-03NP1.

P03 HH38:
Does not have periodic noise.
Small volume of triangle waves.
M2 duty cycle may be different(Some muitlcart have reset issues).
This chip P03 is probably a clone of the early 2A03, although it was still in production into the 1990s. It is widely used in famiclones with dual quartz (PPU PAL and CPU NTSC).

PH3 HI38D:
Just like P03 HH38.

6005B DHH24:
Just like P03 HH38.
It gets very hot after working for a while.

P03-1 HH47:
Just like P03 HH38.

GM-6827 9124:
Divisor is 15, Others are the same as P03.

UA6527 8893:
Duty cycle of the two square waves is ERROR.
DPCM channel is completely damaged.(sounds like TA-03N 9105 above).
Divisor is 12.

UA6527P 9XXX:
This is the most common, nothing to say. Divide by 15, wrong square wave and everything else looks ok.

MG-P-501 8933:
Divide by 16, wrong square wave.

SENITON 9109C 6527AP:
Divide by 16, wrong square wave.

0615B 9046:
Divide by 16, wrong square wave.




UA6538 9XXX:
This is the most common, nothing to say.Severe saturation, NMI after 50 lines.

TA-02NP 9105:
PIN14 to stop running.
PIN15 to change some clocks? (I can't remember exactly, Seems like some IRQ location changes based on CPU cycles,)
PIN16 to SEL P50/P60.
In the P60 mode, 241-242 line shown, zigzag stripes of PAL60 circulate at high speed in 6 states.
PIN17 SEL quartz. If use a 21M quartz and 60HZ with a 2A03, it can display colors like autumn in NTSC, totally NTSC timing.
The jalibar is shallow.
No streaks in the color of the block.
The color palette is slightly different, but it looks good.
Micro Machines is OK.

SNC6538 9115:
Like TA-02NP 9105 above.

TA-02NP WDW23710:
PIN14 to stop running.
PIN15 change speed
PIN16 to SEL 50/60.
PIN17 change speed. But there is no video output with 21M quartz.
The jalibar is shallow.
On a large solid-color background (especially yellow, green), every other row has a horizontal stripe.

TA-02NP WDW23711:
Like TA-02NP WDW23710.

P02 SNC6538 9104:
Like TA-02NP WDW23710.

TA-02NP 6538 9225:
Pin 14 15 16 17 no function.
The color is better than 6538, not so saturated.
Micro Machines is OK.

0615b 9046:
PAL PPU, Color like UA6538.
Left pulse (background color in grayscale) always shown.

HA6538:
Like UA6538.

SENITON 9139A M5-P38P02:
Like UA6538.

2A02E 9122S:
On a large solid-color background (especially yellow, green), every other row has a horizontal stripe.
Dendy Timing.

GM-6838 9118:
On a large solid-color background (especially yellow, green), every other row has a horizontal stripe.
Dendy Timing.

P02 XXXX(HH,HI,I0 etc):
NMI like PAL 2C07.
On a large solid-color background (especially yellow, green), every other row has a horizontal stripe.
It gets very hot after working for a while.

P02 0615C 9121:
NMI location is between PAL and Dendy, few lines earlier than Dendy.

UA6528 8XXX:
Color saturation higher than 2C02.
Micro Machines is ERROR.
No-cart boot color is light red.

UA6528 9249-CM:
Color saturation higher than 2C02.
Micro Machines is ERROR.
No-cart boot color is light blue.
IN NORMAL WORK FOR ALL GAMES, IT LOOPS THROUGH THE THREE PPU STATES, LIKE IN Battletoads! Every odd (or even) frame of it is one pixel slower.

UM6561 glob (This may be the most widespread NOAC):
ALL CHANNELS ARE COMPLETELY LINEAR! Writing to 4011 will not affect the volume of the triangle and noise, Armadillo and Bubble Bobble are Perfect. I mean whether APU1 or APU2, all are linear (maybe).
IT DO NOT RESETS phase after writing to 4003 4007, Score sound when Mario passes the level, Mega Man 2 ending song, all Perfect.
APU Frame Counter is broken. DQ12 and Akagawa Jirou no Yuurei Ressha etc, music broken, very slow.
IT REVERSES DPCM BIT ORDER!! GIMMICK Double Dribble Sword Master ARE OK, But more games get worse!
Resets phase in triangle every note, causes a sticky sound.
The frequency of the noise channel is a little different from 2A03G, for example, in Rockman 3, the sound of hitting the enemy.
After reset APU 4010 state is reset (maybe).
Some PPU issues have been fixed, such as Mario's random horizontal lines.
Usually has two jumpers. The first is for 21M and 26M quartz, NMI position, CPU Divisor. The second for PAL/NTSC Colors. 2001 Emphasize green and red SEL bit according to the second.
Sometimes the IRQ will be dithered by 1 pixel(Ningen Heiki, Takahashi Meijin no Bouken-jima IV,Ninja Ryuuken Den II, Doki! Doki! Yuuenchi etc).
The position of the picture in the border has become more centered, Not left 15 right 11 like 2C02.
Whenever it cropped the left 8 columns, it only cropped the left 7 columns, some gibberish will leak out.
In the background, the leftmost column of pixels is repeated and the rightmost column of pixels disappears. In sprites they are all normal(for example Rod Land's sp0hit).

UM6562 glob:
It's like the UM6561, except the DPCM volume is turned up.

NOAC glob after 2000 with various names:
Basically the same as um6561.
Songs are often out of tune (best known for Felix the Cat).
Fixed noise issues.

3088(?) glob(I'm not sure about the name):
Duty cycle ERROR.
Color saturation higher than 2C02, like 6538.
Each mode 2001 emphasize red always like NTSC.
Usually has 3 jumpers. 1) NMI position. 2) NTSC/PAL colors. 3) 21M/26M quartz.
For jumper #1, it can turn on a mode widely called P60 (NTSC timing but 26M quartz, PAL color).
In P60 mode, 241-242 lines are displayed. But the left and right 2 columns are clipped just like normal PAL.
The zigzag stripes of PAL60 circulate at high speed in 6 states.
The noise sounds wrong, but I'm not sure what's wrong.
DPCM is super loud and has distortion.
Some games, like Recca92, Contra froce, occasionally have sprites flying around the screen.
In NTSC mode, BG color border will not shown, and both left and right 2 columns are cropped, just like PAL, line 241-242 shown.
In SuperC "A out" sounds oddly.
IT may can't boot with MMC5 Cart.

That's all I can think of right now, if you know more, welcome to add it. :D
User avatar
Ben Boldt
Posts: 1149
Joined: Tue Mar 22, 2016 8:27 pm
Location: Minnesota, USA

Re: Differences of various PPU CPUs in Famiclones

Post by Ben Boldt »

lidnariq has also done a lot of work gathering this type of info in the wiki:

https://www.nesdev.org/wiki/PPU_variants

https://www.nesdev.org/wiki/CPU_variants

Please add your information together with his! Thank you
User avatar
Quietust
Posts: 1920
Joined: Sun Sep 19, 2004 10:59 pm
Contact:

Re: Differences of various PPU CPUs in Famiclones

Post by Quietust »

Airwe wrote: Fri May 27, 2022 3:46 am PIN30 CAN NOT adjust the divisor.
Out of curiosity, why would you expect pin 30 to adjust the divisor?
Quietust, QMT Productions
P.S. If you don't get this note, let me know and I'll write you another.
darleiv
Posts: 69
Joined: Wed Feb 23, 2022 10:55 pm

Re: Differences of various PPU CPUs in Famiclones

Post by darleiv »

in Brazil we use this one in the photo. very good
cpu_ppu_combinations.gif
cpu_ppu_combinations.gif (7.71 KiB) Viewed 1588 times
UAX.jpg
User avatar
Airwe
Posts: 10
Joined: Sat Dec 01, 2012 6:01 am
Contact:

Re: Differences of various PPU CPUs in Famiclones

Post by Airwe »

Quietust wrote: Fri May 27, 2022 2:46 pm
Airwe wrote: Fri May 27, 2022 3:46 am PIN30 CAN NOT adjust the divisor.
Out of curiosity, why would you expect pin 30 to adjust the divisor?
I want to do this, but I'm not good at English, I'm not good at using forums, sorry :shock:
User avatar
Airwe
Posts: 10
Joined: Sat Dec 01, 2012 6:01 am
Contact:

Re: Differences of various PPU CPUs in Famiclones

Post by Airwe »

Quietust wrote: Fri May 27, 2022 2:46 pm
Airwe wrote: Fri May 27, 2022 3:46 am PIN30 CAN NOT adjust the divisor.
Out of curiosity, why would you expect pin 30 to adjust the divisor?
I saw it mentioned above, I tried it on the side
User avatar
Airwe
Posts: 10
Joined: Sat Dec 01, 2012 6:01 am
Contact:

Re: Differences of various PPU CPUs in Famiclones

Post by Airwe »

darleiv wrote: Wed Jun 01, 2022 12:43 pm in Brazil we use this one in the photo. very good

cpu_ppu_combinations.gifUAX.jpg
I've seen this chipset on 勝天 (Shengtian) machines, but I don't own it ,Isn't this requirement 26M quartz?
User avatar
aquasnake
Posts: 515
Joined: Fri Sep 13, 2019 11:22 pm

Re: Differences of various PPU CPUs in Famiclones

Post by aquasnake »

darleiv wrote: Wed Jun 01, 2022 12:43 pm in Brazil we use this one in the photo. very good

cpu_ppu_combinations.gifUAX.jpg
A: Famicom or NTSC Famiclone

B: Early days Dendy(before 1990)

C: Dendy

there's another solution that is Hong Kong or Brazil Version Dendy(PAL-60)
Post Reply